1
0
Fork 0
mirror of https://github.com/tldr-pages/tldr.git synced 2025-09-10 10:53:51 +02:00
tldr/pages/common/ghdl.md
2020-10-09 23:35:12 -03:00

593 B

ghdl

Open-source simulator for the VHDL language. More information: http://ghdl.free.fr.

  • Analyze a VHDL source file and produce an object file:

ghdl -a {{filename.vhdl}}

  • Elaborate a design (where {{design}} is the name of a configuration unit, entity unit or architecture unit):

ghdl -e {{design}}

  • Run an elaborated design:

ghdl -r {{design}}

  • Run an elaborated design and dump output to a waveform file:

ghdl -r {{design}} --wave={{output.ghw}}

  • Check the syntax of a VHDL source file:

ghdl -s {{filename.vhdl}}

  • Display the help page:

ghdl --help