mirror of
https://github.com/tldr-pages/tldr.git
synced 2025-07-21 17:55:25 +02:00
ghdl, git-ignore, ln: add space before colon; ghdl: spelling
This commit is contained in:
parent
af117ddfc9
commit
2f2fbcc3e1
3 changed files with 11 additions and 11 deletions
|
@ -3,26 +3,26 @@
|
|||
> Simulateur à source ouverte pour le langage VHDL.
|
||||
> Plus d'informations: <http://ghdl.free.fr>.
|
||||
|
||||
- Analyse un fichier de source VHDL et gérère un fichier objet:
|
||||
- Analyse un fichier de source VHDL et génère un fichier objet :
|
||||
|
||||
`ghdl -a {{fichier.vhdl}}`
|
||||
|
||||
- Élabore un design (où `{{design}}` est le nom d'une unité de configuration, d'entité, ou d'architecture):
|
||||
- Élabore un design (où `{{design}}` est le nom d'une unité de configuration, d'entité, ou d'architecture) :
|
||||
|
||||
`ghdl -e {{design}}`
|
||||
|
||||
- Exécute un design élaboré:
|
||||
- Exécute un design élaboré :
|
||||
|
||||
`ghdl -r {{design}}`
|
||||
|
||||
- Exécute un design élaboré et sauvegarde la sortie à un fichier de forme d'onde:
|
||||
- Exécute un design élaboré et sauvegarde la sortie à un fichier de forme d'onde :
|
||||
|
||||
`ghdl -r {{design}} --wave={{sortie.ghw}}`
|
||||
|
||||
- Vérifie le syntaxe d'un fichier de source VHDL:
|
||||
- Vérifie le syntaxe d'un fichier de source VHDL :
|
||||
|
||||
`ghdl -s {{fichier.vhdl}}`
|
||||
|
||||
- Affiche l'aide générale:
|
||||
- Affiche l'aide générale :
|
||||
|
||||
`ghdl --help`
|
||||
|
|
|
@ -3,10 +3,10 @@
|
|||
> Génère des fichiers .gitignore à partir de gabarits prédéfinis.
|
||||
> Plus d'informations: <https://docs.gitignore.io/install/command-line>.
|
||||
|
||||
- Liste les gabarits disponibles:
|
||||
- Liste les gabarits disponibles :
|
||||
|
||||
`git ignore list`
|
||||
|
||||
- Génère un gabarit .gitignore:
|
||||
- Génère un gabarit .gitignore :
|
||||
|
||||
`git ignore {{item_a,item_b,item_n}}`
|
||||
|
|
|
@ -2,14 +2,14 @@
|
|||
|
||||
> Crée des liens vers des fichiers et répertoires.
|
||||
|
||||
- Crée un lien symbolique vers un fichier ou un répertoire:
|
||||
- Crée un lien symbolique vers un fichier ou un répertoire :
|
||||
|
||||
`ln -s {{chemin/vers/fichier_ou_repertoire}} {{chemin/vers/lien_symbolique}}`
|
||||
|
||||
- Modifie la cible d'un lien symbolique existant:
|
||||
- Modifie la cible d'un lien symbolique existant :
|
||||
|
||||
`ln -sf {{chemin/vers/nouveau_fichier}} {{chemin/vers/lien_symbolique}}`
|
||||
|
||||
- Crée un lien dur vers un fichier:
|
||||
- Crée un lien dur vers un fichier :
|
||||
|
||||
`ln {{chemin/vers/fichier}} {{chemin/vers/lien_dur}}`
|
||||
|
|
Loading…
Add table
Reference in a new issue