diff --git a/pages.ko/common/ghc.md b/pages.ko/common/ghc.md new file mode 100644 index 0000000000..ee2ccbf548 --- /dev/null +++ b/pages.ko/common/ghc.md @@ -0,0 +1,29 @@ +# ghc + +> Glasgow Haskell 컴파일러. +> 하스켈 소스 파일을 컴파일하고 링크. +> 더 많은 정보: . + +- 현재 디렉터리에서 모든 모듈을 찾아 컴파일: + +`ghc Main` + +- 단일 파일 컴파일: + +`ghc {{경로/대상/파일.hs}}` + +- 추가 최적화를 사용해 컴파일: + +`ghc -O {{경로/대상/파일.hs}}` + +- 객체 파일(.o) 생성 후 컴파일 중지: + +`ghc -c {{경로/대상/파일.hs}}` + +- REPL (대화형 쉘)을 시작: + +`ghci` + +- 단일 표현식 평가: + +`ghc -e {{표현식}}` diff --git a/pages.ko/common/ghci.md b/pages.ko/common/ghci.md new file mode 100644 index 0000000000..894fff0964 --- /dev/null +++ b/pages.ko/common/ghci.md @@ -0,0 +1,24 @@ +# ghci + +> Glasgow Haskell 컴파일러 대화형 환경. +> 더 많은 정보: . + +- REPL (대화형 쉘)을 시작: + +`ghci` + +- REPL을 시작하고 지정된 Haskell 소스 파일을 로드: + +`ghci {{소스_파일.hs}}` + +- REPL을 시작하고 언어 옵션을 활성화: + +`ghci -X{{언어_옵션}}` + +- REPL을 시작하고 일젓 수준의 컴파일러 경고(예: `all` 또는 `compact`)를 활성화: + +`ghci -W{{경고_수준}}` + +- 소스 파일을 찾기 위해 콜론으로 구분된 디렉터리 목록으로 REPL을 시작: + +`ghci -i{{경로/대상/디렉터리1:경로/대상/디렉터리2:...}}` diff --git a/pages.ko/common/ghcup.md b/pages.ko/common/ghcup.md new file mode 100644 index 0000000000..c2e4fa6f2a --- /dev/null +++ b/pages.ko/common/ghcup.md @@ -0,0 +1,33 @@ +# ghcup + +> Haskell 툴체인을 설치 도우미. +> Haskell 툴체인을 설치, 관리, 업데이트. +> 더 많은 정보: . + +- 대화형 TUI을 시작: + +`ghcup tui` + +- 사용 가능한 GHC/cabal 버전 목록 나열: + +`ghcup list` + +- 권장 GHC 버전 설치: + +`ghcup install ghc` + +- 특정 GHC 버전 설치: + +`ghcup install ghc {{버전}}` + +- 현재 "활성" GHC 버전을 설정: + +`ghcup set ghc {{버전}}` + +- cabal을 설치: + +`ghcup install cabal` + +- `ghcup` 자체를 업데이트: + +`ghcup upgrade` diff --git a/pages.ko/common/ghdl.md b/pages.ko/common/ghdl.md new file mode 100644 index 0000000000..a020e460b0 --- /dev/null +++ b/pages.ko/common/ghdl.md @@ -0,0 +1,28 @@ +# ghdl + +> VHDL 언어용 오픈 소스 시뮬레이터. +> 더 많은 정보: . + +- VHDL 소스 파일을 분석하고 개체 파일을 생성: + +`ghdl -a {{파일이름.vhdl}}` + +- 설계를 정교화 (여기서 `design`은 구성 단위, 엔터티 단위 또는 아키텍처 단위의 이름): + +`ghdl -e {{디자인}}` + +- 정교한 디자인 실행: + +`ghdl -r {{디자인}}` + +- 정교한 설계를 실행하고 출력을 파형 파일로 덤프: + +`ghdl -r {{디자인}} --wave={{output.ghw}}` + +- VHDL 소스 파일의 구문을 확인: + +`ghdl -s {{파일이름.vhdl}}` + +- 도움말 표시: + +`ghdl --help` diff --git a/pages.ko/common/ghost.md b/pages.ko/common/ghost.md new file mode 100644 index 0000000000..5f1959d5ee --- /dev/null +++ b/pages.ko/common/ghost.md @@ -0,0 +1,36 @@ +# ghost + +> 블로그 플랫폼이자 헤드리스 CMS. +> 더 많은 정보: . + +- 현재 디렉토리에 Ghost를 설치: + +`ghost install` + +- Ghost 인스턴스를 시작: + +`ghost start` + +- Ghost 인스턴스를 재시작: + +`ghost restart` + +- Ghost를 설치하거나 업데이트하는 동안 시스템에 잠재적인 문제가 있는지 확인: + +`ghost doctor` + +- Ghost 인스턴스의 로그 보기: + +`ghost log {{이름}}` + +- Ghost 인스턴스를 직접 실행 (프로세스 관리자 및 디버깅에 사용): + +`ghost run` + +- 실행 중인 Ghost 프로세스 보기: + +`ghost ls` + +- Ghost 구성 보기 또는 편집: + +`ghost config {{키}} {{값}}`