From 12d2b5cf98df55c0264e47d3accee860680f2ffa Mon Sep 17 00:00:00 2001 From: Ivor Benderavage Date: Fri, 16 Oct 2020 18:46:54 -0400 Subject: [PATCH] ghdl: add French translation --- pages.fr/common/ghdl.md | 28 ++++++++++++++++++++++++++++ 1 file changed, 28 insertions(+) create mode 100644 pages.fr/common/ghdl.md diff --git a/pages.fr/common/ghdl.md b/pages.fr/common/ghdl.md new file mode 100644 index 0000000000..a84dc60a90 --- /dev/null +++ b/pages.fr/common/ghdl.md @@ -0,0 +1,28 @@ +# ghdl + +> Simulateur à source ouverte pour le langage VHDL. +> Plus d'informations: . + +- Analyse un fichier de source VHDL et gérère un fichier objet: + +`ghdl -a {{fichier.vhdl}}` + +- Élabore un design (où `{{design}}` est le nom d'une unité de configuration, d'entité, ou d'architecture): + +`ghdl -e {{design}}` + +- Exécute un design élaboré: + +`ghdl -r {{design}}` + +- Exécute un design élaboré et sauvegarde la sortie à un fichier de forme d'onde: + +`ghdl -r {{design}} --wave={{sortie.ghw}}` + +- Vérifie le syntaxe d'un fichier de source VHDL: + +`ghdl -s {{fichier.vhdl}}` + +- Affiche l'aide générale: + +`ghdl --help` \ No newline at end of file